Part Number Hot Search : 
MAX39 TSM0512D CSR149 63TCN PAT10012 CSA13 1N970 ST662ABD
Product Description
Full Text Search
 

To Download APL431LAYI-TBG Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 a n p e c r e s e r v e s t h e r i g h t t o m a k e c h a n g e s t o i m p r o v e r e l i a b i l i t y o r m a n u f a c t u r a b i l i t y w i t h o u t n o t i c e , a n d a d v i s e c u s t o m e r s t o o b t a i n t h e l a t e s t v e r s i o n o f r e l e v a n t i n f o r m a t i o n t o v e r i f y b e f o r e p l a c i n g o r d e r s . l o w v o l t a g e a d j u s t a b l e p r e c i s i o n s h u n t r e g u l a t o r f e a t u r e s g e n e r a l d e s c r i p t i o n a p p l i c a t i o n s the apl431l is a 3-terminal low voltage adjustable precision reference with specified thermal stability over applicable commercial temperature ranges. output voltage may be set to any value between v ref (1.24 v) and 20 v with two external resistors (see figure 2). when used with an photocoupler, the apl431l is an ideal voltage reference in isolated feedback circuits for 3v to 12v switching-mode power supplies. this device has a typical output impedance of 0.1w. active output circuitry provides a very sharp turn-on characteristic, making the apl431l excellent replacements for zener diodes in many applications, including on-board regulation and adjustable power supplies. p r e c i s e r e f e r e n c e v o l t a g e t o 1 . 2 4 v g u a r a n t e e d 0 . 5 % , 1 % o r 1 . 5 % r e f e r e n c e v o l t a g e t o l e r a n c e s i n k c u r r e n t c a p a b i l i t y , 8 0 u a t o 1 0 0 m a q u i c k t u r n - o n a d j u s t a b l e o u t p u t v o l t a g e , v o = v r e f t o 2 0 v l o w o p e r a t i o n a l c a t h o d e c u r r e n t , 8 0 a t y p i c a l 0 . 1 w t y p i c a l o u t p u t i m p e d a n c e s o t - 2 3 - 3 , s o t - 2 3 - 5 , t o - 9 2 a n d s o t - 8 9 p a c k a g e s l e a d f r e e a n d g r e e n d e v i c e s a v a i l a b l e ( r o h s c o m p l i a n t ) l i n e a r r e g u l a t o r s a d j u s t a b l e p o w e r s u p p l y s w i t c h i n g p o w e r s u p p l y s y m b o l f u n c t i o n a l d i a g r a m cathode anode ref v ref + _ cathode ref anode p i n c o n f i g u r a t i o n ref anode cathode 1 2 3 ref nc cathode nc anode 1 2 3 4 5 ref anode cathode 3 2 1 ref anode cathode 1 2 3 sot-23-3 (top view) sot-23-5 (top view) to-92 (top view) sot-89 (top view)
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 2 symbol parameter rating unit v ka cathode voltage 21 v i k continuous cathode current range 120 ma i ref reference current range 3 ma q ja thermal resistance from junction to ambient in free air sot - 23 - 3 sot - 23 - 5 sot - 89 to - 92 416 357 250 250 c/w t j operating junction temperature range - 40 to 150 c t stg storage temperature range - 65 to 150 c t sdr maximum lead soldering temperature , 10 seconds 260 c o r d e r i n g a n d m a r k i n g i n f o r m a t i o n a b s o l u t e m a x i m u m r a t i n g s n o t e : a n p e c l e a d - f r e e p r o d u c t s c o n t a i n m o l d i n g c o m p o u n d s / d i e a t t a c h m a t e r i a l s a n d 1 0 0 % m a t t e t i n p l a t e t e r m i n a t i o n f i n i s h ; w h i c h a r e f u l l y c o m p l i a n t w i t h r o h s . a n p e c l e a d - f r e e p r o d u c t s m e e t o r e x c e e d t h e l e a d - f r e e r e q u i r e m e n t s o f i p c / j e d e c j - s t d - 0 2 0 c f o r m s l c l a s s i f i c a t i o n a t l e a d - f r e e p e a k r e f l o w t e m p e r a t u r e . a n p e c d e f i n e s ? g r e e n ? t o m e a n l e a d - f r e e ( r o h s c o m p l i a n t ) a n d h a l o g e n f r e e ( b r o r c l d o e s n o t e x c e e d 9 0 0 p p m b y w e i g h t i n h o m o g e n e o u s m a t e r i a l a n d t o t a l o f b r a n d c l d o e s n o t e x c e e d 1 5 0 0 p p m b y w e i g h t ) . apl431l elec. grade handling code temperature range package code elec. grade a : 0.5% reference voltage tolerance b : 1% reference voltage tolerance c : 1.5% reference voltage tolerance package code a : sot-23-3 b : sot-23-5 d : sot-89 e : to-92 y : chip form temperature range c : 0 to 70 c i : -40 to 85 c handling code tr : tape & reel tb : tape & box assembly material l : lead free device g : halogen and lead free device apl 431l xxxxx xxxxx - date code apl431l e : apl431l d : apl431l xxxxx - date code xxxxx apl431l a/b : 431l assembly material
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 3 e l e c t r i c a l c h a r a c t e r i s t i c s t a = 2 5 c ( u n l e s s o t h e r w i s e n o t e d ) apl431l symbol parameter test conditions min. typ. max. unit apl431la 1.234 1.240 1.246 apl431lb 1.228 1.240 1.252 v ka =v ref , i k =10ma t a =25 c, (fig. 1) apl431lc 1.223 1.240 1.258 apl431la 1.222 1.240 1.258 apl431lb 1.215 1.240 1.265 v ref reference voltage t a =full range (see note1), (f ig.1) apl431lc 1.212 1.240 1.262 v v def v def temp deviation t a =full range (see note1) v ka =v ref , i k =10ma (fig. 1) 5 15 mv d v ref / d v ka ratio of change in v ref to change in cathods votage i k = 10ma, v ka =16v to v ref (fig. 2) - 0.2 - 1.0 mv/v i ref reference input current i k =10ma,r 1 =10k w, r 2 = (fig. 2) 0.15 0.5 m a i ref(dev) i ref temp deviation t k =full range (note 1) , r 1 =10k w , r 2 = , i k =10ma, (fig. 2) 0.05 0.3 m a v k a =6v 0.01 0.1 i k(off) o ff - state cathode curren t v ref =0v, (fig. 3) v k a =16v 0.01 0.5 m a z ka dynamic output impedance v ka =v ref , i k =1ma to 100ma, f 1khz (fig. 1) 0.1 0.4 w i k(min) minimum operating current v ka =v ref (fig. 1) 80 100 m a n o t e 1 : f u l l t e m p e r a t u r e r a n g e i s 0 c t o 7 0 c f o r a p l 4 3 1 l x x c , a n d - 4 0 c t o 8 5 c f o r a p l 4 3 1 l x x l . t e s t f i g u r e s f i g u r e 1 . t e s t c i r c u i t f o r v k a = v r e f , v o = v k a = v r e f f i g u r e 2 . t e s t c i r c u i t f o r i k ( o f f ) v in v o i k v ref v o v in i k(off)
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 4 t e s t f i g u r e s ( c o n t . ) f i g u r e 3 . t e s t c i r c u i t f o r v k a > v r e f , v o = v k a = v r e f ( 1 + r 1 / r 2 ) + i r e f r 1 v in i k i ref v ref r1 r2 v o a p p l i c a t i o n c i r c u i t s v o v in r 2 r 1 v ref r b p r e c i s i o n v o l t a g e r e f e r e n c e v o v in r b v ref r 2 r 1 c 1 p r e c i s i o n h i g h - c u r r e n t s e r i e s r e g u l a t o r n o t e s f o r a p p l i c a t i o n c i r c u i t s : 1 ) f o r t h e s e r i e s r e g u l a t o r a p p l i c a t i o n s , a d d a c o m p e n s a t i o n c a p a c i t o r c 1 b e t w e e n c a t h o d e a n d r e f i s s t r o n g l y r e c o m m e n d e d t o i m p r o v e t h e s t a b i l i t y o f o u t p u t v o l t a g e . 2 ) s e t v o a c c o r d i n g t o t h e f o l l o w i n g e q u a t i o n : v o = v r e f ( 1 + r 1 / r 2 ) + l r e f x r 1 3 ) c h o o s e t h e v a l u e f o r r b a s f o l l o w s : a ) t h e m a x i m u m l i m i t f o r r b s h o u l d b e s u c h t h a t t h e c a t h o d e c u r r e n t ( l k ) i s g r e a t e r t h a n t h e m i n i m u m o p e r a t i n g c u r r e n t ( 8 0 m a ) a t v i n ( m i n ) . b ) t h e m i n i m u m l i m i t f o r r b s h o u l d b e s u c h t h a t t h e c a t h o d e c u r r e n t ( l k ) d o e s n o t e x c e e d 1 0 0 m a u n d e r a l l l o a d c o n d i t i o n s , a n d t h e i n s t a n t a n e o u s t u r n - o n v a l u e f o r l k d o e s n o t e x c e e d 1 5 0 m a .
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 5 -100 -50 0 50 100 -1.5 -1 -0.5 0 0.5 1 1.5 0.08 0.10 0.12 0.14 0.16 0.18 -50 -25 0 25 50 75 100 125 150 1.22 1.23 1.24 1.25 1.26 -50 -25 0 25 50 75 100 125 150 -250 -200 -150 -100 -50 0 50 100 150 200 250 -1 -0.5 0 0.5 1 1.5 t y p i c a l c h a r a c t e r i s t i c s v k a = v r e f i k a = 1 0 m a c a t h o d e v o l t a g e ( v ) cathode current (ma) c a t h o d e v o l t a g e ( v ) cathode current ( m a) c a t h o d e c u r r e n t v s . c a t h o d e v o l t a g e c a t h o d e c u r r e n t v s . c a t h o d e v o l t a g e j u n c t i o n t e m p e r a t u r e ( c ) reference voltage (v) reference input current ( m a) j u n c t i o n t e m p e r a t u r e ( c ) r e f e r e m c e v o l t a g e v s . j u n c t i o n t e m p e r a t u r e r e f e r e n c e i n p u t c u r r e n t v s . j u n c t i o n t e m p e r a t u r e t a = 2 5 c v k a = v r e f t a = 2 5 c r 1 = 1 0 k w , r 2 = i k a = 1 0 m a
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 6 0 0.1 0.2 0.3 0.4 0.5 -50 -25 0 25 50 75 100 125 150 0s m 0 1v 0 5s m 3v 0s m 5s m 0 1v 0 3v -1 -0.9 -0.8 -0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0 -50 -25 0 25 50 75 100 125 150 t y p i c a l c h a r a c t e r i s t i c s i n p u t j u n c t i o n t e m p e r a t u r e ( c ) ratio of delta reference voltage to delta cathode voltage (-mv/v) j u n c t i o n t e m p e r a t u r e ( c ) off state cathode current ( m a) o f f s t a t e c a t h o d e c u r r e n t v s . j u n c t i o n t e m p e r a t u r e d v r e f / d v k a v s . j u n c t i o n t e m p e r a t u r e v r e f = 0 v v k a = 1 6 v v k a = 6 v i k a = 1 m a o u t p u t i n p u t o u t p u t t a = 2 5 c i k a = 0 . 1 m a t a = 2 5 c d v k a = v r e f t o 2 0 v i k a = 1 0 m a
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 7 0 10 20 30 40 50 60 70 80 90 100 0.0001 0.001 0.01 0.1 1 -10 0 10 20 30 40 50 60 10 100 1000 10000 100000 1000000 0 50 100 150 200 250 300 350 t y p i c a l c h a r a c t e r i s t i c s f r e q u e n c y ( h z ) gain (db) phase shift (degree) g a i n v s . p h a s e s h i f t v s . f r e q u e n c y c l - l o a d c a p a c i t a n c e ( m f ) ik (ma) s t a b i l i t y b o u n d a r y c o n d i t i o n s v k a = v r e f v k a = 2 . 5 v v k a = 3 . 3 v s t a b l e u n s t a b l e t a = 2 5 c i k a = 1 0 m a t a = 2 5 c s t a b i l i t y t e s t c i r c u i t f o r v k a = v r e f s t a b i l i t y t e s t c i r c u i t f o r v k a > v r e f , v o = v k a = v r e f ( 1 + r 1 / r 2 ) + i r e f r 1 v in 6.8k 4.3k v o 10uf 180 5v w w w g a i n & p h a s e t e s t c i r c u i t u s e t h e m l c c f o r c l v in v o c l i k w 100 v in r1 r2 v o c l i k 100 w
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 8 0.01 0.1 1 10 100 10 100 1000 10000 100000 1000000 f r e q u e n c y ( h z ) zka ( w ) z k a v s . f r e q u e n c y v k a = v r e f i k a = 1 0 m a t a = 2 5 c t y p i c a l c h a r a c t e r i s t i c s
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 9 s o t - 2 3 - 3 0 l view a 0 . 2 5 gauge plane seating plane a a 2 a 1 e d e e 1 see view a b c e1 max. 0.057 0.051 0.024 0.006 0.009 0.020 0.012 l 0.30 0 e e1 e1 e d c b 0.08 0.30 0.60 0.012 0.95 bsc 1.90 bsc 0.22 0.50 0.037 bsc 0.075 bsc 0.003 min. millimeters s y m b o l a1 a2 a 0.00 0.90 sot-23 max. 1.45 0.15 1.30 min. 0.000 0.035 inches 8 0 8 0 1.40 2.60 1.80 3.00 2.70 3.10 0.122 0.071 0.118 0.102 0.055 0.106 note : dimension d and e1 do not include mold flash, protrusions or gate burrs. mold flash, protrusion or gate burrs shall not exceed 10 mil per side. p a c k a g e i n f o r m a t i o n
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 0 p a c k a g e i n f o r m a t i o n s o t - 2 3 - 5 max. 0.057 0.051 0.024 0.006 0.009 0.020 0.012 l 0.30 0 e e1 e1 e d c b 0.08 0.30 0.60 0.012 0.95 bsc 1.90 bsc 0.22 0.50 0.037 bsc 0.075 bsc 0.003 min. millimeters s y m b o l a1 a2 a 0.00 0.90 sot-23-5 max. 1.45 0.15 1.30 min. 0.000 0.035 inches 8 0 8 0 b c e1 0 l view a 0 . 2 5 gauge plane seating plane a a 2 a 1 e d e e 1 see view a 1.40 2.60 1.80 3.00 2.70 3.10 0.122 0.071 0.118 0.102 0.055 0.016 note : 1. follow jedec to-178 aa. 2. dimension d and e1 do not include mold flash, protrusions or gate burrs. mold flash, protrusion or gate burrs shall not exceed 10 mil per side.
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 1 p a c k a g e i n f o r m a t i o n t o - 9 2 j d e s j l e e1 a b s y m b o l min. max. 5.33 3.18 4.19 2.42 2.66 0.53 3.43 a b e e e1 j l s millimeters d 4.45 5.20 to-92 12.70 2.03 2.66 min. max. inches 0.210 0.175 0.205 0.125 0.165 0.095 0.105 0.135 0.500 0.080 0.105 4.32 0.170 0.021 0.055 1.15 1.39 0.045 0.41 0.016 note : followjedec to-92. 4.00 0.157 0.591 15.00
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 2 p a c k a g e i n f o r m a t i o n s o t - 8 9 s y m b o min. max. millimeters sot-89 min. max. inches a c e1 e b b1 d d1 h e l e 1 1.60 0.44 0.35 0.44 4.40 4.60 1.62 1.83 0.56 2.13 a b c d d1 e e1 e e1 b1 0.36 0.48 3.00 bsc 3.94 4.25 2.29 2.60 2.29 0.118 bsc 0.063 0.017 0.014 0.019 0.014 0.017 0.173 0.181 0.064 0.072 0.084 0.155 0.167 0.090 0.102 0.090 0.022 l 0.89 0.035 h 1.50 bsc 0.059 bsc 1.40 1.20 0.047 0.055 l note : follow jedec to-243 aa.
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 3 c a r r i e r t a p e & r e e l d i m e n s i o n s h t1 a d a e 1 a b w f t p0 od0 b a0 p2 k0 b 0 section b-b section a-a od1 p1 application a h t1 c d d w e1 f 178.0 ? 2.00 50 min. 8.4+2.00 - 0.00 13.0+0.50 - 0.20 1.5 min. 20.2 min. 8.0 ? 0.30 1.75 ? 0.10 3.5 ? 0.05 p 0 p1 p 2 d 0 d1 t a 0 b 0 k 0 sot - 23 - 3 4.0 ? 0.10 4.0 ? 0.10 2.0 ? 0.10 1.5+0.10 - 0.00 1.5 min. 0.6+0.00 - 0.4 0 3.20 ? 0.20 3.10 ? 0.20 1.50 ? 0.20 application a h t1 c d d w e1 f 178.0 ? 2.00 50 min. 8.4+2.00 - 0.00 13.0+0.50 - 0.20 1.5 min. 20.2 min. 12.0 ? 0.30 1.75 ? 0.10 5.50 ? 0.05 p 0 p1 p 2 d 0 d1 t a 0 b 0 k 0 sot - 89 4.0 ? 0.10 8.0 ? 0.10 2.0 ? 0.10 1.5+0.10 - 0.00 1.5 min. 0.6+0.00 - 0.40 4.80 ? 0.20 4.50 ? 0.20 1.80 ? 0.20 application a h t1 c d d w e1 f 178.0 ? 2.00 50 min. 8.4+2.00 - 0.00 13.0+0.50 - 0.20 1.5 min. 20.2 min. 8.0 ? 0.30 1.75 ? 0.10 3.5 ? 0.05 p 0 p1 p 2 d 0 d1 t a 0 b 0 k 0 sot - 23 - 5 4.0 ? 0 .10 4.0 ? 0.10 2.0 ? 0.10 1.5+0.10 - 0.00 1.5 min. 0.6+0.00 - 0.40 3.20 ? 0.20 3.10 ? 0.20 1.50 ? 0.20 (mm)
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 4 r e f l o w c o n d i t i o n ( i r / c o n v e c t i o n o r v p r r e f l o w ) t 25 c to peak tp ramp-up t l ramp-down ts preheat tsmax tsmin t l t p 25 t e m p e r a t u r e time critical zone t l to t p c a r r i e r t a p e & b o x d i m e n s i o n s
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 5 profile feature sn - pb eutectic assembly pb - free assembly average ramp - up rate (t l to t p ) 3 c/second max. 3 c/second max. preheat - temperature min (tsmin) - temperature max (tsmax) - time (min to max) (ts) 100 c 150 c 60 - 120 seconds 150 c 200 c 60 - 180 seconds time maintained above: - temperature (t l ) - time (t l ) 183 c 60 - 150 seconds 217 c 60 - 150 seconds peak /classification temperature (tp) see table 1 see table 2 time within 5 c of actual peak temperature (tp) 10 - 30 seconds 20 - 40 seconds ramp - down rate 6 c/sec ond max. 6 c/second max. time 25 c to peak temperature 6 minutes max. 8 minutes max. note: all temperatures refer to topside of the package. measured on the body surface. c l a s s i f i c a t i o n r e f l o w p r o f i l e s test item method description solderability mil - std - 883d - 2003 245 c, 5 sec holt mil - std - 883d - 1005.7 1000 hrs bias @125 c pct jesd - 22 - b, a102 168 hrs, 100 % rh, 121 c tst mil - std - 883d - 1011.9 - 65 c~150 c, 200 cycles esd mil - std - 883d - 3015.7 vhbm > 2kv, vmm > 200v latch - up jesd 78 10ms, 1 tr > 100ma r e l i a b i l i t y t e s t p r o g r a m d e v i c e s p e r u n i t package type unit quantity sot - 23 - 3 tape & reel 3000 sot - 89 tape & reel 1000 sot - 23 - 5 tape & reel 3000 to - 92 tape & box 2000
c o p y r i g h t ? a n p e c e l e c t r o n i c s c o r p . r e v . b . 1 0 - m a r . , 2 0 0 8 a p l 4 3 1 l w w w . a n p e c . c o m . t w 1 6 table 2. pb - free process ? package classification reflow temperatures package thickness volume mm 3 <350 volume mm 3 350 - 2000 volume mm 3 >2000 <1.6 mm 260 +0 c* 260 +0 c* 260 +0 c* 1.6 mm ? 2.5 mm 260 +0 c* 250 +0 c* 245 +0 c* 3 2.5 mm 250 +0 c* 245 +0 c* 245 +0 c* * tolerance: the device manufacturer/supplier shall assure process compatibility up to and including the stated classification temperature (this means peak reflow temperature +0 c. for example 260 c+0 c) at the rated msl level. table 1. snpb eutectic process ? package peak reflow temperature s package thickness volume mm 3 <350 volume mm 3 3 350 <2.5 mm 240 +0/ - 5 c 225 +0/ - 5 c 3 2.5 mm 225 +0/ - 5 c 225 +0/ - 5 c c u s t o m e r s e r v i c e a n p e c e l e c t r o n i c s c o r p . head office : no.6, dusing 1st road, sbip, hsin-chu, taiwan, r.o.c. tel : 886-3-5642000 fax : 886-3-5642050 t a i p e i b r a n c h : 2 f , n o . 1 1 , l a n e 2 1 8 , s e c 2 j h o n g s i n g r d . , s i n d i a n c i t y c i t y , t a i p e i c o u n t y 2 3 1 4 6 , t a i w a n t e l : 8 8 6 - 2 - 2 9 1 0 - 3 8 3 8 f a x : 8 8 6 - 2 - 2 9 1 7 - 3 8 3 8 c l a s s i f i c a t i o n r e f l o w p r o f i l e s ( c o n t . )


▲Up To Search▲   

 
Price & Availability of APL431LAYI-TBG

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X